Zur Kurzanzeige

dc.contributor.author
Ottaviano, Alessandro
dc.contributor.author
Balas, Robert
dc.contributor.author
Bambini, Giovanni
dc.contributor.author
Del Vecchio, Antonio
dc.contributor.author
Ciani, Maicol
dc.contributor.author
Rossi, Davide
dc.contributor.author
Benini, Luca
dc.contributor.author
Bartolini, Andrea
dc.date.accessioned
2024-05-08T12:24:51Z
dc.date.available
2024-03-10T08:36:31Z
dc.date.available
2024-03-11T09:52:11Z
dc.date.available
2024-05-08T12:24:51Z
dc.date.issued
2024-04
dc.identifier.issn
1573-7640
dc.identifier.issn
0885-7458
dc.identifier.other
10.1007/s10766-024-00761-4
en_US
dc.identifier.uri
http://hdl.handle.net/20.500.11850/663701
dc.identifier.doi
10.3929/ethz-b-000663701
dc.description.abstract
High-performance computing (HPC) processors are nowadays integrated cyber-physical systems demanding complex and high-bandwidth closed-loop power and thermal control strategies. To efficiently satisfy real-time multi-input multi-output (MIMO) optimal power requirements, high-end processors integrate an on-die power controller system (PCS). While traditional PCSs are based on a simple microcontroller (MCU)-class core, more scalable and flexible PCS architectures are required to support advanced MIMO control algorithms for managing the ever-increasing number of cores, power states, and process, voltage, and temperature variability. This paper presents ControlPULP, an open-source, HW/SW RISC-V parallel PCS platform consisting of a single-core MCU with fast interrupt handling coupled with a scalable multi-core programmable cluster accelerator and a specialized DMA engine for the parallel acceleration of real-time power management policies. ControlPULP relies on FreeRTOS to schedule a reactive power control firmware (PCF) application layer. We demonstrate ControlPULP in a power management use-case targeting a next-generation 72-core HPC processor. We first show that the multi-core cluster accelerates the PCF, achieving 4.9x speedup compared to single-core execution, enabling more advanced power management algorithms within the control hyper-period at a shallow area overhead, about 0.1% the area of a modern HPC CPU die. We then assess the PCS and PCF by designing an FPGA-based, closed-loop emulation framework that leverages the heterogeneous SoCs paradigm, achieving DVFS tracking with a mean deviation within 3% the plant's thermal design power (TDP) against a software-equivalent model-in-the-loop approach. Finally, we show that the proposed PCF compares favorably with an industry-grade control algorithm under computational-intensive workloads.
en_US
dc.format
application/pdf
en_US
dc.language.iso
en
en_US
dc.publisher
Springer
en_US
dc.rights.uri
http://creativecommons.org/licenses/by/4.0/
dc.subject
RISC-V
en_US
dc.subject
HPC processor
en_US
dc.subject
Power and thermal control
en_US
dc.subject
Scalable
en_US
dc.subject
Parallel microcontroller
en_US
dc.subject
PULP
en_US
dc.title
ControlPULP: A RISC-V On-Chip Parallel Power Controller for Many-Core HPC Processors with FPGA-Based Hardware-In-The-Loop Power and Thermal Emulation
en_US
dc.type
Journal Article
dc.rights.license
Creative Commons Attribution 4.0 International
dc.date.published
2024-02-26
ethz.journal.title
International Journal of Parallel Programming
ethz.journal.volume
52
en_US
ethz.journal.issue
1
en_US
ethz.journal.abbreviated
Int J Parallel Prog
ethz.pages.start
93
en_US
ethz.pages.end
123
en_US
ethz.version.deposit
publishedVersion
en_US
ethz.grant
Pilot using Independent Local & Open Technologies
en_US
ethz.grant
European Processor Initiative (EPI) SGA2
en_US
ethz.grant
Together for RISc-V Technology and ApplicatioNs
en_US
ethz.identifier.wos
ethz.identifier.scopus
ethz.publication.status
published
en_US
ethz.grant.agreementno
101034126
ethz.grant.agreementno
101036168
ethz.grant.agreementno
101095947
ethz.grant.fundername
EC
ethz.grant.fundername
EC
ethz.grant.fundername
SBFI
ethz.grant.funderDoi
10.13039/501100000780
ethz.grant.funderDoi
10.13039/501100000780
ethz.grant.funderDoi
10.13039/501100007352
ethz.grant.program
H2020
ethz.grant.program
H2020
ethz.grant.program
HE
ethz.date.deposited
2024-03-10T08:36:42Z
ethz.source
WOS
ethz.eth
yes
en_US
ethz.availability
Open access
en_US
ethz.rosetta.installDate
2024-05-08T12:24:52Z
ethz.rosetta.lastUpdated
2024-05-08T12:24:52Z
ethz.rosetta.versionExported
true
ethz.COinS
ctx_ver=Z39.88-2004&rft_val_fmt=info:ofi/fmt:kev:mtx:journal&rft.atitle=ControlPULP:%20A%20RISC-V%20On-Chip%20Parallel%20Power%20Controller%20for%20Many-Core%20HPC%20Processors%20with%20FPGA-Based%20Hardware-In-The-Loop%20Power%20and%20Therm&rft.jtitle=International%20Journal%20of%20Parallel%20Programming&rft.date=2024-04&rft.volume=52&rft.issue=1&rft.spage=93&rft.epage=123&rft.issn=1573-7640&0885-7458&rft.au=Ottaviano,%20Alessandro&Balas,%20Robert&Bambini,%20Giovanni&Del%20Vecchio,%20Antonio&Ciani,%20Maicol&rft.genre=article&rft_id=info:doi/10.1007/s10766-024-00761-4&
 Printexemplar via ETH-Bibliothek suchen

Dateien zu diesem Eintrag

Thumbnail

Publikationstyp

Zur Kurzanzeige